Подключение сдвигового регистра. Сдвиговый регистр

14.03.2024 Интернет

1. Оглавление

2. Введение …………………………………………………………… 2

3. Обзор литературных источников ………………………………… 3

3.1. Общие сведения о регистрах ………………………………… 3

3.2. Общие сведения о триггерах …………………………….…... 6

3.3. Сдвигающие регистры ……………………………………….. 12

3.4. Универсальные регистры ……………………………………….. 20

4. Разработка схемы регистра сдвига ………………………………… 24

4.1. Исходные данные ……………………………………………… 24

4.2. Порядок разработки регистра сдвига …………………..……… 24

4.3. Разработка четырёхфазного регистра сдвига ……............……… 25

5. Вывод ……………………………………………………………. 27

6. Список используемой литературы …………………………………. 28


2. Введение

Регистры – самые распространённые узлы цифровых устройств. Они оперируют с множеством связанных переменных, составляющих слово. Над словами выполняется ряд операций: приём, выдача, хранение, сдвиг в разрядной сетке, поразрядные логические операции.

Сдвигающие (последовательные) регистры используются для сдвига n -разрядных чисел в одном направлении. Кроме того, их можно применять для сдвига нечисловой информации.

Регистры сдвига применяют в качестве запоминающих устройств, качестве преобразователей последовательного кода в параллельный, в качестве устройств задержки и счётчиков импульсов (правда, применение сдвигающих регистров в качестве счётчиков достаточно неэкономично).

3. Обзор литературных источников

3.1. Общие сведения о регистрах

Регистры состоят из разрядных схем, в которых имеются триггеры и, чаще всего, также и логические элементы. Действуют они как единое целое.

По количеству линий передачи переменных регистры делятся на однофазные и парафазные, по системе синхронизации на однотактные, двухтактные и многотактные. Однако главным классификационным признаком является способ приёма и выдачи данных. По этому признаку различают параллельные (статические) регистры, последовательные (сдвигающие) и параллельно-последовательные .

В параллельных регистрах приём и выдача слов производится по всем разрядам одновременно. В них хранятся слова, которые могут быть подвергнуты поразрядным логическим преобразованиям.

В последовательных регистрах слова принимаются и выдаются разряд за разрядом. Их называют сдвигающими, так как тактирующие сигналы при вводе и выводе слов перемещают их в разрядной сетке. Сдвигающий регистр может быть нереверсивным (с однонаправленным сдвигам) или реверсивным (с возможностью сдвига в обоих направлениях).

Последовательно-параллельные регистры имеют входы-выходы одновременно последовательного и параллельного типа. Имеются варианты с последовательным входом и параллельным выходом (SIPO, Serial Input – Parallel Output), параллельным входом и последовательным выходом (PISO, Parallel Input – Serial Output), а также варианты с возможностью любого сочетания способов приёма и выдачи слов.

В параллельных (статических) регистрах схемы разрядов не обмениваются данными между собой. Общими для разрядов обычно являются цепи тактирования, сброса / установки, разрешение выхода или приёма, то есть цепи управления. Пример схемы статического регистра, построенного на триггерах D-типа с прямыми динамическими входами, имеющего входы сброса R и выходы с третьим состоянием, управляемые сигналом EZ, показан на рисунке 1 .

Рисунок 1. Схема статического регистра (а) и его условное графическое обозначение (б)

Для современной схемотехники характерно построение регистров на триггерах D-типа, преимущественно с динамическим управлением. Многие имеют выходы с третьим состоянием. Некоторые регистры относятся к числу буферных, то есть рассчитаны на работу с большими ёмкостными и / или низкоомными активными нагрузками. Это обеспечивает их работу непосредственно на магистраль (без дополнительных схем интерфейса).

Из статических регистров составляются блоки регистровой памяти – регистровые файлы.

Главные функции регистров:

1) Хранение информации,

2) Прием информации,

3) Выдача информации,

4) Сдвиг информации,

5) Преобразование кодов,

6) Установление в ноль или в единицу нужного числа,

7) Поразрядные логические операции: дизъюнкция, конъюнкция, сложение по модулю 2.

3.2. Общие сведения о триггерах

Триггеры – большой класс электрических устройств, позволяющих длительно находится в одном из двух (или более) устойчивых состояний и чередовать их под воздействием внешних сигналов (в следствии регенеративного процесса (переходной процесс в электрической цепи, охваченной ПОС)).

Триггер – импульсное логическое устройство с памятью (элемент памяти – фиксатор).

Существует более десятка различных интегральных триггеров. В основу их классификации положены:

Функциональный признак,

Способ записи информации в триггер.

По функциональному признаку различают Т-триггеры, JK-триггеры, RS-триггеры, D-триггеры, комбинированные триггеры (TV, DV, E, R) и т.д.

По способу записи (приёма) информации различают:

8) Асинхронные триггеры:

а) с внутренней задержкой;

б) управляемые уровнем входного импульса;

9) Синхронные триггеры (тактируемые):

а) с внутренней задержкой;

б) управляемые уровнем тактирующего импульса:

Однотактного действия (одноступенчатые);

Многократного действия.

Запись информации в тактируемые триггеры осуществляется только при подаче разрешающего тактирующего импульса. Такие триггеры делят на управляемые уровнем (для срабатывания необходим определённый уровень сигнала) и управляемые фронтом (не зависят от уровня сигнала, важно его присутствие) тактирующего импульса. Тактирующие импульсы иногда ещё называют синхронизирующими, исполнительными, командными сигналами (на схемах обычно обозначают буквой С - Clock).

Динамический вход может быть прямым и инверсным. Прямое динамическое управление подразумевает разрешение на переключение при изменении тактового сигнала с нулевого значения на единичное (). Инверсное динамическое управление – изменение тактового сигнала с единичного на нулевой ().

Управление фронтом тактирующего импульса:

Управление спадом тактирующего импульса:

Управление верхним уровнем тактирующего импульса:

Управление нижним уровнем тактирующего импульса:

Тактируемые триггеры с внутренней задержкой (срабатывают по окончании действия сигнала) являются, как правило, однотактными. Многотактные триггеры срабатывают после n -ного импульса.

RS-триггер имеет два информационных входа: S (Set) и R (Reset). Одновременная подача сигналов S и R не допускается. На рисунке 2 изображен синхронный RS-триггер, срабатывающий по фронту тактирующего сигнала.

Рисунок 2. Синхронный RS-триггер

Кроме входов, простейший RS-триггер имеет и два выхода. Выходы обозначают Q и . Выход Q называют прямым, a - инверсным. Уровни напряжения на обоих выходах взаимно инверсны: если сигнал Q = 1, то = 0, либо если Q = 0, то = 1. Необходимо еще отметить, что состояние триггера, при котором Q = 1, a = 0, называют единичным. При нулевом состоянии триггера Q = 0 и = 1. С поступлением сигналов на входы триггера в зависимости от его состояния либо происходит переключение, либо исходное состояние сохраняется.

Рисунок 3. - триггер: его условное графическое обозначение и схема с двумя логическими элементами И-НЕ

На рисунке 3 показан простейший триггер – типа . Здесь использованы только два логических элемента И-НЕ. Назначение входов: -для установки триггера в единичное состояние и - для возвращения в нулевое состояние. Черточки над обозначениями входов показывают, что переключение триггера происходит, когда входное напряжение высокого уровня сменяется напряжением низкого уровня (рисунок 4 ). Нетрудно видеть, что когда на входы не поступают сигналы, триггер сохраняет свое состояние. Если, например, Q = 1 и = 0, то есть триггер в единичном состоянии, то, поскольку выход DD1 связан с одним из входов DD2, а выход DD2 - с одним из входов DD1, на двух входах DD2 действует напряжение

Рисунок 4. Временная диаграмма работы - триггера

высокого, а на выходе - низкого ( = 0) уровня. В то же время на одном из входов DD1 напряжение низкого, а на выходе - высокого уровня. Если теперь на вход поступает сигнал с обозначенной полярностью (момент t1 , рисунок 4 ), состояние триггера не изменится, потому что поступление сигнала на второй вход DD1 временно изменит только сочетание сигналов на входах (до подачи сигнала оно было 1 и 0, а стало 0 и 0), но выходное состояние DD1 остается при этом неизменным. Если, однако, сигнал поступит на вход (момент t2 ), на обоих входах DD2 уже окажутся напряжения разного уровня, состояние логических элементов изменится и на выходе его будет напряжение высокого уровня. На обоих входах DD1 окажутся напряжения высокого уровня, а на выходе - низкого, то есть триггер "опрокинется" и перейдет в другое состояние: Q = 0 и = 1.

Из сказанного следует, что смена состояния триггера происходит только при чередовании сигналов низкого уровня на входах и . При этом, если такие сигналы поступят на оба входа одновременно, то после их прекращения состояние триггера станет неопределенным (состояние Q = 0 или Q = 1 равновероятно). Поэтому одновременная подача сигналов низкого уровня на оба входа не разрешается.

Работа -триггера характеризуется таблицей состояний (индексы n и n+1 означают принадлежность сигнала моменту времени t n и следующему за ним t n+1 ):

Неопределённое состояние

Не разрешается одновременная подача напряжения низкого уровня на оба входа -триггера.

Триггер типа RS, как и -триггер, "запоминает", на какой из двух входов (R или S) поступил последний сигнал: если на вход R, триггер находится в нулевом состоянии (Q = 0 и = 1), а если на вход S, то в единичном состоянии (Q = 1 и = 0).

Рисунок 5. RS- триггер: его условное графическое обозначение и схема с четырьмя логическими элементами И-НЕ

На рисунке 5 показана схема RS-триггера, выполненного на логических элементах И-НЕ. Она отличается от схемы -триггера тем, что к каждому входу добавлено по инвертору (DD3 и DD4), которые только обеспечивают необходимый уровень входных сигналов.

Изменение входных сигналов от низкого уровня до высокого приводит к смене состояния триггера (моменты t1, t2, t2 и t5 ; в момент t4 опрокидывания не происходит, так как триггер уже установлен в единичное состояние в предшествующий момент - t3, рисунок 6 ).

Рисунок 6. Временная диаграмма работы RS- триггера

Все сказанное относительно RS-триггера сохраняет силу и для -триггера. Единственное различие касается инверсии уровней входных сигналов (R вместо и S вместо ).

Работа RS-триггера характеризуется следующей таблицей состояний:

Неопределённое состояние

3.3. Сдвигающие регистры

Триггерным регистром сдвига называют совокупность триггеров с определёнными связями между ними, при которых они действуют как единое устройство. Последовательные (сдвигающие) регистры представляют собой цепочку разрядных схем, связанных цепями переноса.

В однотактных регистрах со сдвигом на один разряд вправо (рисунок 7 ) слово сдвигается при поступлении сигнала синхронизации. Вход и выход последовательные (DSR – Data Serial Right). На рисунке 8 показана схема регистра со сдвигом влево (вход данных DSL – Data Serial Left), а на рисунке 9 иллюстрируется принцип построения реверсивного регистра, в котором имеются связи триггеров с обоими соседними разрядами, но соответст-вующими сигналами разрешается работа только одних из этих связей (команды «влево» и «вправо» одновременно не подаются).

Рисунок 7. Схема право-сдвигающего регистра

Рисунок 8 . Схема лево-сдвигающего регистра

Рисунок 9 . Схема реверсивного регистра

Согласно требованиям синхронизации, в сдвигающих регистрах, не имеющих логических элементов в межразрядных связях, нельзя применять одноступенчатые триггеры, управляемые уровнем, поскольку некоторые триггеры могут за время действия разрешающего уровня синхросигнала переключится неоднократно, что недопустимо. В данных схемах следует применить триггеры с динамическим управлением (двухступенчатые).

Появление в межразрядных связях логических элементов и, тем более, логических схем неединичной глубины упрощает выполнение условий работоспособности регистров и расширяет спектр типов триггеров, пригодных для этих схем.

Многотактные сдвигающие регистры управляются несколькими синхропоследовательностями. Из их числа наиболее известны двухтактные с основным и дополнительным регистрами, построенными на простых одноступенчатых триггерах, управляемых уровнем. По такту С1 содержимое основного регистра переписывается в дополнительный, а по такту С2 возвращается в основной, но уже в соседние разряды, что соответствует сдвигу слова. По затратам оборудования и быстродействию этот вариант близок к однотактному регистру с двухступенчатыми триггерами.

В регистре сдвига присутствует набор триггеров с определёнными связями между ними и организация этих связей такова, что при подаче тактового импульса, общего для всех триггеров, выходное состояние каждого триггера сдвигается в соседний. В зависимости от организации связей этот сдвиг может происходить влево или вправо:

Сдвиг влево

Сдвиг вправо

Ввод информации в регистр может выполнятся различными способами, однако наиболее часто используют параллельный или последовательный ввод, при которых ввод двоичного числа осуществляется или одновременно во все разряды регистра, или последовательно во времени по отдельным разрядам. В счётчиках импульсов находят применение сдвигающие регистры с последовательным вводом и выводом информации и со сдвигом вправо. На рисунке 10 a приведена схема четырёхразрядного регистра сдвига, выполненного на RS-триггерах. В этой схеме каждый выход Q триггера соединён со входом S последующего разряда, а каждый выход - с входом R. Тактовые входы всех триггеров соединены вместе, и поступление сигнала синхронизации осуществляется одним общим импульсом через логический элемент И-НЕ (DD7). Состояние первого триггера определяется входными сигналами на входах Х1, Х2 логического элемента И-НЕ (DD5). На вход Х1 подаётся текущая информация, а на вход Х2 сигнал разрешения её передачи. Логический элемент НЕ используется (DD6) используется для инвертирования входного сигнала, подаваемого на вход S.

На рисунке 10 б приведены временные диаграммы выходных сигналов триггеров и состояния регистров при записи в первый разряд единичного сигнала. Если при поступлении первого тактового импульса на входах Х1 и Х2 установлены сигналы Х1 = Х2 = 1, которые затем снимаются к приходу второго тактового импульса, то в результате в первый триггер будет записан сигнал Q 1 = 1. С приходом второго тактового импульса в первый триггер будет записан сигнал Q 1 = 0, а на выходе второго триггера появится сигнал Q 2 = 1, который перед этим был на выходе второго триггера. При поступлении последующих тактовых импульсов единичный сигнал перемещается последовательно в третий и четвёртый триггеры, после чего все триггеры устанавливаются в нулевое состояние.

a)

n

Q 1

Q 2

Q 3

Q 4

Рисунок 10 . Схема четырёхфазного регистра сдвига (а), временные диаграммы его сигналов и состояния регистров при записи в первый разряд единичного сигнала (б)

Сдвиговые регистры также можно реализовать на D-триггерах ил JK-триггерах. Для всех регистров сдвига характерны следующие положения:

1) необходима предварительная установка исходного состояния и ввод единицы в первый триггер

2) для регистра из n триггеров после поступления n входных тактовых импульсов первоначально введённая единица выводится, вследствие чего прямые выходы всех регистров оказываются в нулевом состоянии.

Интегральные микросхемы регистров сдвига бывают реверсивными, то есть выполняющими сдвиг в любом направлении: влево или вправо. Направление сдвига определяется значением управляющего сигнала.

Рисунок 11. Реализация регистра сдвига на однотактных RS-триггерах

Последовательный регистр сдвига обладает двумя недостатками: он позволяет вводить только по одному биту информации на каждом тактовом импульсе и, кроме того, каждый раз при сдвиге информации в регистре вправо теряется крайний правый информационный бит. На рисунке 12 показана система, которая позволяет осуществлять одновременную параллельную загрузку 4 бит информации.

Рисунок 12. Структурная схема 4-разрядного параллельного регистра

Входы 1, 2, 3, 4 в этом устройстве являются информационными входами. Эту систему можно снабдить еще одной полезной характеристикой - возможностью кольцевого перемещения информации, когда данные с выхода устройства возвращаются на его вход и не теряются.

Рисунок 13. Логическая схема четырёхразрядного параллельного кольцевого регистра

Схема 4-разрядного параллельного кольцевого регистра сдвига показана на рисунке 13 . В этом регистре сдвига используются четыре JK-триггера. Благодаря цепи обратной связи введенная в регистр информация, которая обычно теряется на выходе четвёртого триггера, будет циркулировать по регистру сдвига. Сигналом очистки регистра (установки его выходов в состояние 0000) является уровень логического 0 на входе CLR. Входы параллельной загрузки данных 1, 2, 3 и 4 связаны со входами предварительной установки триггеров (PS), что позволяет устанавливать уровень логической 1 на любом выходе (1, 2, 3, 4). Если на один из этих входов даже кратковременно подать логический 0, то на соответствующем выходе будет установлена логическая 1. Подача тактовых импульсов на входы C всех JK-триггеров приводит к сдвигу информации в регистре вправо. Из четвёртого триггера данные передаются в первый триггер (кольцевое перемещение информации).

Таблица 1.


строки

Входы

Выходы

№ тактового импульса

Принцип работы параллельного регистра сдвига описан в таблице 1 . При включении питания на выходах регистра может установиться любая двоичная комбинация, такая, например, как в строке 1 таблицы. Подача логического 0 на входы CLR триггеров инициирует очистку регистра (строка 2). Далее (строка 3) осуществляется загрузка в регистр двоичной комбинации 0100. Последовательные тактовые импульсы вызывают сдвиг введенной информации вправо (строки 4 - 8). В строках 5 и 6: единица из крайнего правого триггера (четвёртого) переносится в крайний левый триггер (первый). В данном случае можно говорить о кольцевом перемещении единицы в регистре. Далее (строка 9) вновь инициируется очистка регистра с помощью входа CLR. Загружается новая двоичная комбинация 0110 (строка 10). Подача 5 тактовых импульсов (строки 11-15) приводит к кольцевому сдвигу информации на 5 позиций вправо. Для возвращения данных в исходное состояние требуется 4 тактовых импульса.

Если в регистре сдвига на рисунке 13 разорвать петлю обратной связи, то мы получим обычный параллельный регистр сдвига: возможность кольцевого перемещения информации будет исключена.


Рисунок 14. Трехтактный регистр сдвига на RS-триггерах


3.4. Универсальные регистры

Часто вместо обычных последовательных или параллельных требуется применить более сложные сдвигающие регистры: с параллельной синхронной записью информации, реверсивные, реверсивные с параллельной синхронной записью. Такие регистры называют универсальными .

Есть множество серий ИС регистров многорежимных (многофункциональных) или универсальных, способных выполнять набор микроопераций. Многорежимность достигается композицией в одной и той же схеме частей, необходимых для выполнения различных операций. Управляющие сигналы, задающие вид выполняемой в данное время операции, активизируют необходимые для этого части схемы.

Рисунок 15. Универсальные регистры сдвига: а – К155ИР13, б – К500ИР141, в – КМ155ИР1

На рисунке 15 показано три типичных представителя универсальных сдвиговых регистров серии К155, КМ155 и К500.

Микросхема ИР13 (рисунок 15 а ) – это восьмиразрядный реверсивный сдвигающий регистр с допустимой тактовой частотой до 25 МГц при токе потребления до 40 мА. Имеет параллельные входы и выходы, вход асинхронного сброса , входы DSL (сдвиг влево) и DSR (сдвиг вправо) по перепаду синхронизирующих импульсов С, входы выбора режима S0 и S1. При S0 = 0, S1 = 1 происходит сдвиг информации вправо, при S0 = 1, S1 = 0 – влево, а при S0 = S1 = 1 – запись информации в регистр.

Микросхема ИР141 (рисунок 15 б ) – это универсальный четырёх-разрядный сдвиговый регистр, построенный на эмитерно-связной логике. Тактовая частота – до 150 МГц. Потребляемый ток – не менее 120 мА. При S0 = 0, S1 = 1 происходит сдвиг информации вправо, при S0 = 1, S1 = 0 – влево, а при S0 = S1 = 1 – хранение числа, при S0 = S1 = 0 – установка числа.

Микросхема ИР1 (рисунок 15 в ) – это сдвигающий регистр с синхронной записью информации на RS-триггерах. Входы 1 – 4 предназначены для параллельной записи информации, вход D – для последовательной записи. Вход V – управляющий. При V = 0 схема работает как сдвигающий регистр по отрицательному перепаду (с 1 на 0) сигнала С1, а при V = 1 схема работает в режиме синхронной записи в регистр сигналов входов 1 – 4 по отрицательному перепаду сигнала С2.

Регистры, имеющие разнотипные вход и выход, служат основными блоками преобразователей параллельных кодов в последовательные и обратно. На рисунке 16 показана схема преобразователя параллельного кода в последовательный на основе восьмиразрядного регистра типа SI/PO/SO. В этой схеме отрицательный стартовый импульс St, задающий уровень логического нуля на верхнем входе элемента 1, создаёт единичный сигнал параллельного приёма данных на вход L (Load – загрузка), по которому в разряды 1 – 7 регистра загружается преобразуемое слово, а в нулевой разряд – константа 0. На последовательный вход DSR подана константа 1. Таким образом, после загрузки в регистре формируется слово. Тактовые импульсы, поступающие на вход С, вызывают сдвиг слова вправо. Сдвиги выводят слово в последовательной форме через выход Q7. Вслед за информационными разрядами идёт 0, после которого цепочка единиц. Пока ноль не выведен из регистра, на выходе элемента 2 действует единичный сигнал. После вывода нуля все входы элемента 2 становятся единичными, его выход приобретает нулевое значение и через элемент 1 формирует сигнал автоматической загрузки следующего слова, после чего цикл преобразования повторяется.

Рисунок 16. Схема преобразователя параллельного кода в последовательный

Современные регистры мало приспособлены для выполнения поразрядных логических операций, но при необходимости их можно выполнить пользуясь регистрами на RS-триггерах. Для выполнения операции ИЛИ на S вход статического регистра с исходным нулевым состоянием подаётся первое слово, единичные разряды которого устанавливают соответствующие триггеры. Затем без сброса регистра на S выходы подаётся второе слово.

При выполнении поразрядной операции И в первом такте на S входы регистра подаётся первое слово, устанавливающее те разряды регистра, в которых это слово имеет единицы. Затем следует подать на регистр второе слово. Чтобы в регистре сохранились единицы только в тех разрядах, в которых оба слова имеют единицы, второе слово подаётся на входы R триггеров в инверсном виде.

Сложение по модулю 2 может быть выполнено схемой с триггерами типа Т в разрядах путём последовательной во времени подачи на неё двух слов.


4. Разработка схемы регистра сдвига

4.1. Исходные данные

Заданы тактовые импульсы положительной полярности.

4.2. Порядок разработки регистра сдвига

а) Рассмотрение общих требований к схеме регистра.

б) Разработка регистра сдвига.

в) Описание работы разработанной схемы.


4.3. Разработка четырёхфазного регистра сдвига

Необходимо разработать четырёхфазный регистр сдвига на RS-триггерах. Пусть он будет правосдвигающим. Для этого нам понадобится четыре синхронных RS-триггера с синхронизацией по фронту тактирующего импульса и некоторое число логических элементов для создания цепей переноса. Так как сдвигающие регистры с последовательными входом и выходом имеют низкое быстродействие, разработаем схему с параллельными входом и выходом.

Рисунок 17. Разработанная схема правосдвигающего синхронного регистра на RS-триггерах

Выполняя инвертирование сигнала на входах триггеров мы добиваемся того, что подача напряжений одинаковых уровней на входы S и R невозможна. Значит, при S = 0, R = 1 – на выходе получим 0, при S = 1, R = 0 – на выходе получим 1. На входах сдвигающего регистра необходимо установить четыре элемента со следующей таблицей истинности:

Соединив четвёртый выход с первым входом мы получаем кольцевой правосдвигающий регистр. Информация с выхода Q4 не будет теряться, а будет циркулировать заново.

Поскольку такой регистр сдвига четырёхразрядный, количество возможных комбинаций на входе составит 16. Рассмотрим работу нашего регистра при подаче на вход некоторых комбинаций.

№ комбинации

Вход

Выход

№ синхроимпульса


5. Вывод

В курсовом проекте было рассмотрено классификацию регистров, принципы их работы. Рассмотрено типы и принцип работы триггеров как главных составляющих регистров. Было детально рассмотрено регистры сдвига и, в частности, сдвиговые регистры на RS-триггерах.

Также был спроектирован правосдвигающий кольцевой синхронный четырёхразрядный регистр на базе четырёх RS-триггеров и восьми логических элементов. Приведена таблица, описывающая работу регистра при некоторых входных комбинациях.


6. Список используемой литературы

1. Прянишников В.А. Электроника (курс лекций). – С-П., 1998

2. Скаржепа В.А., Луценко А.Н. Электроника и микросхемотехника (часть первая). – К.: Высшая школа, 1989

3. Будищев М.С. Электротехника, электроника и микропроцессорная техника. – Л.: Афиша, 2001

4. Угрюмов Е.П. Цифровая схемотехника. – С-П., 2000

5. Справочник современных интегральных микросхем

Из этого руководства вы узнаете, как управлять 16 светодиодами используя всего 3 линии управления. Мы осуществим это путем последовательной передачи данных в сдвиговые регистры .

Микросхема 74HC595 содержит 8 битный регистр хранения и 8 битный сдвиговый регистр. Данные последовательно передаются в сдвиговый регистр, затем фиксируются в регистре хранения. К регистру хранения подключены 8 выходных линий. На картинке ниже показано расположение выводов микросхемы 74HC595.

Вывод 14 (DS) это вывод данных. В некоторых описаниях он обозначается как «SER».

Когда уровень на выводе 11 (SH_CP, иногда обозначается как SRCLK) переходит из низкого в высокий, значение на выводе DS сохраняется в сдвиговом регистре, при этом данные сдвигаются на один разряд, чтобы предоставить место для нового бита.

Пока на выводе 12 (ST_CP, иногда обозначается как RCLK) низкий уровень, данные записываются в регистр сдвига. Когда уровень переходит в высокий, данные из сдвигового регистра фиксируются в регистре хранения, из которого поступают на выводы Q0…Q7.

На представленной ниже временная диаграмме, показано, каким образом можно установить на выходах Q0…Q7 микросхемы значение 11000011, учитывая что изначально там было значение 00000000.

Ниже показана схема, которую мы соберем в несколько шагов.

Мы используем перфорированную макетную плату с контроллером Atmega8 , которую использовали во многих наших проектах. Добавим еще 2 пустых макетных платы и подведем к ним питание.

Установим микросхему регистра сдвига и подключим к ней питание +5 В и общий провод.

Теперь проведем 3 линии управления между микроконтроллером и регистром сдвига, для чего подсоединим:

  • PC0 к DS
  • PC1 к ST_CP
  • PC2 к SH_CP

Этими линиями являются 3 синих провода на картинке ниже.

Затем подключим светодиоды и резисторы. Я использовал резисторы сопротивлением 510 Ом, но допустимы и другие номиналы.

Для демонстрации работы схемы я написал , которая выводит перемещающийся из стороны в сторону огонек на 8 светодиодах.

Все это конечно впечатляет, но разве я не говорил, что мы будем управлять 16 светодиодами? Чтобы сделать это, нам потребуется еще один сдвиговый регистр 74HC595, больше светодиодов, больше резисторов и больше оранжевых и голубых проводов.

Мы используем вывод Q7, чтобы соединить регистры сдвига в одну цепочку.

Модифицированная схема показана ниже.

Мы остановились на 16 светодиодах, но можно соединить в одну цепочку еще больше регистров сдвига. Эта методика конечно не ограничивается управлением светодиодами, ее можно использовать для увеличения числа портов вывода, чтобы управлять другими видами устройств.

Одно предупреждение касательно этой методики. Когда вы включаете схему, на выходах регистров наблюдаются некоторое произвольное значение. Для того чтобы записать требуемое значение, требуется меньше микросекунды, но для некоторых схем это может стать причиной проблем. В этом случае вы должны использовать выводы MR и OE, для сброса регистров хранения.

Исполнение: SO16-150. Логика стандартная: Тип корпуса: SO16-150 Логическая ИС 74HC595D.118. Описание в формате PDF

  • В програмке на 8 светодиодах есть ошибки... Исправьте, плиз...
  • А вы знаете какие именно ошибки в программе. Или пробовали компилировать и получили ошибки при компиляции? Как таковых ошибок в программе нет, но есть один нюанс, который был задуман автором, с целью использовать данный пример на разных контроллерах. Всего навсего... Так же один момент - не указана тактовая частота в программе, но я не считаю что это ошибка, а даже наоборот, с этим параметром можно "поиграться" и увидеть результаты и изменения. Единственное, в тексте описания не говорится о том, что мега настроена на работу от внутреннего осциллятора. У меня скомпилировать получилось, все без ошибок (AVRStudio).
  • Я компелировал в CodeVisionAVR. Ошибки в delay_ms это мелочи, компилятор не понимает _BV() и bit_is_set. Схему тестирую в протеусе. А как в АВРстудио писать на С++, там же вроде ассемблер...
  • AVRStudio + компилятор WinAVR и пишем на Си в студии.
  • Поставил WinAVR, не понимает delay.h Какая библиотека в аврстудио для паузы?
  • Используем: include и include
  • ../new.c:2:26: util/delay.h: No such file or directory:confused: текст программы в АВРСтудио 4: #include #include #define DS_PORT PORTC #define DS_PIN 0 #define ST_CP_PORT PORTC #define ST_CP_PIN 1 #define SH_CP_PORT PORTC #define SH_CP_PIN 2 #define DS_low() DS_PORT&=~_BV(DS_PIN) #define DS_high() DS_PORT|=_BV(DS_PIN) #define ST_CP_low() ST_CP_PORT&=~_BV(ST_CP_PIN) #define ST_CP_high() ST_CP_PORT|=_BV(ST_CP_PIN) #define SH_CP_low() SH_CP_PORT&=~_BV(SH_CP_PIN) #define SH_CP_high() SH_CP_PORT|=_BV(SH_CP_PIN) //Define functions //====================== int i; void ioinit(void); void output_led_state(unsigned char __led_state); //====================== void ioinit (void) { DDRC = 0b00000111; //1 = output, 0 = input PORTC = 0b00000000; } void output_led_state(unsigned char __led_state) { SH_CP_low(); ST_CP_low(); for (i=0;i<8;i++) { if (bit_is_set(__led_state, i)) DS_high(); else DS_low(); SH_CP_high(); SH_CP_low(); } ST_CP_high(); } int main (void) { ioinit(); //Setup IO pins and defaults while(1) { for (i=7; i>0; i--) { output_led_state(_BV(i)); _delay_ms(100); } for (i=0; i<8; i++) { output_led_state(_BV(i)); _delay_ms(100); } } } Запускаю в протеусе. Один огонек горит =(((
  • Разобрался с регистром или проблемы остались (а то был занят, поэтому не отвечал). Если чего, выложи проект в протеусе, гляну.

Регистр. Регистр сдвига

Регистр это устройство, выполненное на триггерах для выполнения ряда действий с двоичными числами. Для тех, кто не знает, что такое триггер, рекомендуем познакомиться с простейшим RS-триггером .

Наиболее простая функция регистров - это запоминание числа и его длительное хранение. Эти устройства так и называются - регистры хранения. Вот простейший пример.

На входы D0 - D2 подаётся число, которое необходимо сохранить. Как только на входе С появляется импульс синхронизации, число записывается в триггер, изменяя их состояние. На рисунке показан трёхразрядный регистр хранения. При подаче на входы числа 111 2 оно же появится на прямых выходах триггеров (Q0 - Q2 ). На инверсных выходах (Q0 - Q2 ) будет, естественно 000 2 . Сигналом R (Reset ) или сброс, триггеры устанавливаются в нулевое состояние.

Обычно используются регистры, состоящие из 4, 8, или 16 триггеров. Изображение четырёхразрядного регистра на принципиальных схемах может быть таким.

На рисунке не показаны инверсные выхода триггеров и сигнал R. Регистры всегда обозначаются латинскими буквами RG . Если регистр сдвигающий, то под обозначением рисуется стрелка направленная влево, вправо или двойная.

Сдвигающие регистры или регистры сдвига.

Регистр сдвига это устройство, состоящее из нескольких последовательно соединённых триггеров, число которых определяет разрядность регистра. Регистры широко используются в вычислительной технике для преобразования кодов. Параллельного в последовательный и наоборот.

Кроме того сдвигающие регистры являются основой (АЛУ ) арифметико-логического устройства, так как при сдвиге записанного в регистр двоичного числа на один разряд влево производится умножение числа на два, а при сдвиге числа на один разряд вправо число делится на два. Поэтому наибольшее распространение получили реверсивные или двунаправленные регистры.

Рассмотрим четырёхразрядный регистр сдвига, преобразующий последовательный двоичный код в параллельный. Применение последовательного кода оправдано тем, что по одной линии можно передавать огромные массивы информации. Таким примером может служить универсальная последовательная шина - USB порт любого устройства. Число триггеров в данном регистре может быть любым. Достаточно соединить прямой выход Q3 с D входом следующего триггера и так далее до достижения необходимой разрядности.

Регистр работает следующим образом. Первый информационный бит поступает на вход D0 . Одновременно с этим битом приходит тактовый синхроимпульс на вход С . Входы С всех триггеров входящих в регистр, объединены между собой. С приходом первого тактового импульса уровень, находящийся на входе D0 записывается в первый триггер и с выхода Q0 приходит на вход следующего триггера, но записи во второй триггер не происходит, так как синхроимпульс уже закончился.

При поступлении следующего тактового импульса уровень, присутствующий на входе второго триггера запоминается в нём и поступает на вход третьего триггера. Одновременно следующий информационный бит запоминается в первом триггере. После прихода четвёртого тактового импульса в четырёх триггерах регистра будут записаны логические уровни, которые последовательно поступали на вход D0 .

Допустим это уровни 0110 2 . Тогда это двоичное число можно отобразить, подключив к выходам триггеров светодиоды. Так рассмотренный регистр изображается на принципиальной схеме.

Видно, что на условном изображении присутствует стрелка - указатель того, что это сдвиговый регистр.

Рассмотрим, как работает четырёх разрядный универсальный регистр сдвига К155ИР1 (аналог - SN7495N ). Вот его внутреннее устройство.

Регистр содержит четыре D-триггера, которые соединены между собой с помощью дополнительных логических элементов И - ИЛИ, которые позволяют реализовать различные функции. На схеме:

    V2 - вход управления. С его помощью выбирается режим работы регистра.

    Q1 - Q4 выходы триггеров с которых снимается параллельный код.

    V1 - вход для подачи последовательного кода.

    C1, C2 - тактовые синхроимпульсы.

    D1 - D4 - входы для записи параллельного кода.

Алгоритм работы регистра следующий. Если на вход V2 подать низкий потенциал, тактовые импульсы на C1, а на вход V1 подавать информационные биты, то регистр осуществляет сдвиг вправо. После приёма четырёх разрядов на выходах триггеров Q1 - Q4 мы получаем параллельный код. Таким образом осуществляется преобразование последовательного кода в параллельный.

Для обратного преобразования параллельный код записывается по входам D1 - D4, с подачей на вход V2 высокого потенциала и тактовых импульсов на вход С2. Затем подавая на вход V2 низкий потенциал, а тактовые импульсы на вход С1 мы сдвигаем записанный код, а с выхода последнего триггера снимается последовательный код.

По своей структуре это один из самых простых регистров сдвига.

Регистры сдвига в цифровой технике могут послужить основой, на которой собираются узлы с интересными свойствами. Это, например, кольцевые счётчики, которые называются счётчики Джонсона. Такой счётчик имеет количество состояний вдвое большее, чем число составляющих его триггеров. Например, если кольцевой счётчик состоит из трёх триггеров, то он будет иметь шесть устойчивых состояний. На вход счётчика ничего не подаётся кроме синхроимпульсов. В первоначальном состоянии все триггеры "сброшены", то есть на прямых выходах триггеров логические нули, а вот на входе D первого триггера с инверсного выхода третьего триггера находится логическая единица. Начнём подавать тактовые импульсы и процесс пошёл.

На таблице истинности хорошо видно, как изменяется двоичный код при поступлении шести тактовых импульсов.

N Q 2 Q 1 Q 0
1 0 0 1
2 0 1 1
3 1 1 1
4 1 1 0
5 1 0 0
6 0 0 0

Теперь вы знаете, что такое регистр и как он может использоваться на практике. Основа любого регистра - это триггер. Число триггеров в регистре определяет его разрядность. Те, кто увлекается микроконтроллерами знает, что важнейший элемент любого микроконтроллера, будь то PIC, AVR, STM или MSP, это регистр.

Этот обзор посвящен, собственно, начинающим пользователям Arduino или желающим приобщиться к этому делу. Речь пойдёт об увеличении количества выходов микроконтроллера при помощи сдвигового регистра, причём что это не требует больших затрат (по сравнению с покупкой Arduino Mega, например). Самое простое применение - помигать светодиодами, вот и попробуем это на практике.

Когда начинал знакомство с микроконтроллерами (собственно и сейчас всё ещё продолжаю «начинать знакомиться»), один из первых вопросов был: как же имея всего десяток выходов на контроллере управлять той же сотней, тысячей светодиодов? Да, можно использовать мультиплексирование сигнала, встречное включение и множество других ухищрений, но всё равно максимальное количество подключаемых светодиодов ограничено, и необходимо искать другое решение. И подсказали мне один из вариантов - «возьми одну, две, десяток микросхем сдвиговых регистров и развлекайся». Было решено сразу же их заказать, а в перспективе даже собрать светодиодный куб с их применением. От последнего правда пришлось отказаться, нашёл более простой вариант, но это - тема другого обзора.
Заказал сразу 20 штук 74HC595N, благо стоят сущие копейки. Буква N в конце маркировки обозначает, что микросхема в корпусе DIP-16, очень удобно для экспериментов на макетной плате, ничего даже паять не надо. Выглядит вот так:




Что же собой представляет эта микросхема? Это восьмиразрядный сдвиговый регистр с последовательным вводом, последовательным или параллельным выводом информации, с триггером-защелкой и тремя состояниями на выходе.
Проще говоря, используя всего 3 выхода контроллера можно управлять 8 выходами сдвигового регистра. А если микросхемы соединить последовательно друг за другом, то количество контролируемых выходов можно наращивать до любого разумного предела (не нашёл предельного количества, но сотнями вроде как объединяются без проблем; если кто знает, от чего зависит предельное количество включенных в каскад микросхем - интересно было бы узнать в комментариях).
Данные к микросхеме передаются последовательно. Биты 0 и 1 передаются в регистр друг за другом, считывание битов происходит при поступлении синхроимпульса. Передал 8 бит - получил 8 выходных состояний на выходах регистра. При каскадном включении 74HC595 (при необходимости получения 16, 24 и т.д. выходов) данные от первого регистра передаются к следующему.
Выход регистра может находиться не только в состоянии логических 0 или 1, но и быть в высокоимпедансном состоянии, когда выход отключен от схемы. В это состояние могут быть переведены только все выходы сразу. Это редко используется, но может быть полезно при переключении управления на другой контроллер, например.

Распиновка входов/выходов

Q0…Q7 – выходы регистра, могут быть в состоянии 0, 1 или высокоимпедансном
GND – земля
Q7′ – выход для последовательного соединения регистров.
MR – сброс значений регистра
SH_CP – вход тактовых импульсов
ST_CP – вход «защёлкивающий» данные
OE – вход переводящий выходы из высокоимпедансного в рабочее состояние
DS – вход данных
VCC – питание 2-6 вольт

Остаётся проверить работу, для этого соберем популярную среди новичков схему. GND (пин 8) подключаем на землю, Vcc (пин 16) к питанию 5В, OE (пин 13) на землю, MR (пин 10) к питанию 5В. Теперь к сдвиговому регистру подключено питание и все выходы активны. Теперь время подключить микросхему к Arduino: вход данных DS (пин 14) подключим к 9-ому цифровому выходу ардуино, вход тактовых импульсов SH_CP (пин 11) к 10-ому цифровому выходу, вход-защелку ST_CP (пин 12) к 8-ому пину ардуино. Между землёй и защелкой рекомендуется поставить конденсатор на 0,1 мкФ для минимизации шумов.
Осталось подключить светодиоды - через резисторы 150-300 Ом подключаем их от выходов регистра к земле. Собственно и всё. Вот нашёл схему, кто любит наглядные материалы (обратите внимание, распиновка реальной микросхемы и схематическое изображение на данной схеме различаются!)


Собрал схему на макетной плате, у меня получилось вот так.

собранная схема








В ардуино удобно воспользоваться функцией shiftOut(), которая выводит байт информации на порт вход/выхода последовательно (побитно). . Загружаем тестовый код в Arduino и получаем счётчик от 0 до 255 в двоичном виде:
int latchPin = 8; //ST_CP int clockPin = 10; //SH_CP int dataPin = 9; //DS void setup() { pinMode(latchPin, OUTPUT); pinMode(clockPin, OUTPUT); pinMode(dataPin, OUTPUT); } void loop() { for (int numberToDisplay = 0; numberToDisplay < 256; numberToDisplay++) { // установка синхронизации "защелки" на LOW digitalWrite(latchPin, LOW); // передаем последовательно на вход данных shiftOut(dataPin, clockPin, MSBFIRST, numberToDisplay); //"защелкиваем" регистр, устанавливаем значения на выходах digitalWrite(latchPin, HIGH); delay(500); } }
Вот так получилось у меня, всё работает как положено:


Таким образом, при минимальном использовании пинов контроллера можно управлять большим количеством светодиодов (или ещё чем-нибудь). Всё бы хорошо, но расскажу и о недостатках. Как видим, ток для каждого светодиода необходимо ограничивать резистором, и при построении больших светодиодных матриц это становится достаточно трудоёмко. Есть более интересное решение для управления светодиодами - драйвер DM13A, который представляет собой сдвиговый регистр, при этом ещё и ограничивает ток на каждом выходе. Про него расскажу в следующий раз, а в качестве бонуса - тот самый мой первый LED куб, 5x5x5, собранный на упрощенной элементной базе, уже без применения 74HC595.

Планирую купить +37 Добавить в избранное Обзор понравился +35 +61

jk-триггеры можно включить последовательно друг за другом для сохранения последовательности цифр. Такая конструкция, называемая регистром сдвига , показана на рис. 13.27. Свое название схема получила на осно-

Рис. 13.27. 4-разрядный регистр сдвига, образованный последовательно включенными JK- триггерами.

вании того, что она на каждом тактовом импульсе принимает одну новую цифру, сдвигая ранее запомненные цифры на один разряд, чтобы поместить новую.

Этот регистр действует по принципу первым вошел - первым вышел (First-In First-Out, FIFO).

Рассмотрим работу регистра сдвига, изображенного на рис. 13.27. Сначала на шину сброса подается логическая 1, а затем значение сигнала на ней возвращается в 0. Теперь предположим, что сигнал на входе данных первоначально равен 1 и что последовательность тактовых импульсов поступает на тактовый вход. В этом случае триггер FF1 имеет на входе / высокий уровень, а на входе ^низкий уровень, так что после первого тактового импульса на выходе Q устанавливается высокий уровень. Предположим, что тем временем входной сигнал вернулся к значению 0 и остается таким. Во время действия второго тактового импульса высокий уровень на входе / триггера FF2 передается на его выход, и Q 2 принимает значение логической 1. В это же время на входе / триггера FF1 действует логический 0, так что вторым тактовым импульсом на выходе устанавливается низкий уровень; если сигнал на входе данных остается равным 0, то на выходе будет оставаться низкий уровень с каждым тактовым импульсом. Однако бит логической 1 каждым тактовым импульсом передвигается дальше на один разряд, так что после четырех импульсов он достигнет выхода Q 4 . Всего теперь запомнено 4 бита входных данных. Последующие тактовые импульсы приведут к потере этих данных, а более новые данные будут сохранены.

В регистре сдвига, показанном на рис. 13.27, имеется возможность, если требуется, наблюдать запомненные данные в параллельном виде, обеспечив доступ к выходам Q v Q 2 , Q 3 и Q 4 . Такая схема известна как регистр с последовательным входом и параллельным выходом: данные должны вводиться последовательно через единственный вход, после чего они становятся доступны в параллельном виде на выходах регистра. Такое преобразование последовательного представления данных в параллельное является очень распространенной операцией, применяемой, например, для преобразования считанных с диска компьютера битов программы в параллельный код для ввода в основную память.

Если каждый триггер снабдить отдельным входом установки в дополнение к общему входу сброса, то данные могут вводиться параллельно через эти входы. «Загруженные» таким образом данные можно, подавая тактовые импульсы, получить на выходе Q 4 в последовательном виде. Такой регистр служит преобразователем параллельного кода в последовательный и часто применяется для преобразования выводимых из микропроцессора данных, представленных сигналами, появляющимися одновременно на большом числе выходов (например, на 16 выходах), в последовательный код для передачи по единственной паре проводов в сеть или к модему. Популярной конструкцией, которой можно воспользоваться для преобразования данных из параллельного вида в последовательный и обратно, является универсальный асинхронный приемопередатчик; он содержит в одной интегральной схеме необходимые регистры Сдвига, схему управления и формирователи для работы на линию.

Если в схеме, изображенной на рис. 13.27, выход Q 4 соединить с входом данных, то данные, которые можно вводить в параллельном виде через входы установки, никогда не смогут покинуть регистр, а будут просто циркулировать в нем. Такая схема называется регистром с циклическим переносом или кольцевым счетчиком. Используя 10 триггеров, соединенных в кольцевой счетчик и пронумерованных от 0 до 9, можно получить десятичный счетчик. Первоначально в триггере с номером 0 устанавливается состояние с высоким уровнем, а остальные сбрасываются в нулевое состояние. Затем импульсы, подлежащие счету, подаются на тактовый вход, так что с приходом каждого входного импульса логическая 1 передвигается из одного триггера в другой. После девяти импульсов в триггер с номером 9 запишется логическая 1, а следующий импульс восстановит начальное состояние. Соединение выхода триггера с номером 9 со входом другого кольцевого счетчика позволит записывать в него десятки, а еще один кольцевой счетчик сможет записывать сотни. Несмотря на очевидное изящество этой схемы, почти всегда более удобно считать в двоичном виде, а затем преобразовывать выход двоичного счетчика в десятичный вид.

Другим применением кольцевого счетчика является замена им распределителя в электронной системе зажигания автомобиля. Вместо механического кулачка, размыкающего и замыкающего контактные точки для создания искры зажигания, с помощью оптического или магнитного датчика, расположенного на маховике двигателя, формируются тактовые импульсы. Здесь используется сдвиг логической 1 по кругу в кольцевом счетчике, который имеет по одному разряду на каждый цилиндр двигателя. Фазу тактового импульса можно тщательно выставить так, чтобы логическая 1 появлялась на каждом каскаде точно в нужное время для зажигания смеси. Коррекция момента зажигания устанавливается, таким образом, без труда, и, более того, однажды установленный, он никогда не будет изменяться, поскольку в электронном распределителе отсутствует механический износ.

Схему регистра сдвига, приведенную на рис. 13.27, можно применять в качестве основной для экспериментов со всеми типами регистров сдвига и кольцевыми счетчиками. В качестве /^-триггера рекомендуется ИС 74LS76: каждая микросхема содержит два триггера, срабатывающих по отрицательному фронту с отдельными входами установки и сброса. Цоколевка этой схемы дана в приложении 4.